Pascal and Francis Bibliographic Databases

Help

Search results

Your search

kw.\*:("Lithographie UV")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Language

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 1547

  • Page / 62
Export

Selection :

  • and

Asymmetric biasing for subgrid pattern adjustmentWONG, Alfred K; LIEBMANN, Lars W.SPIE proceedings series. 2001, pp 1548-1553, isbn 0-8194-4032-9, 2VolConference Paper

Development of advanced silylation process for 157-nm lithographySATOU, Isao; WATANABE, Manabu; WATANABE, Hiroyuki et al.Microelectronic engineering. 2001, Vol 57-58, pp 571-577, issn 0167-9317Conference Paper

A three-layer resist system for deep U.V. and RIE microlithography on nonplanar surfacesBASSOUS, E; EPHRATH, L. M; PEPPER, G et al.Journal of the Electrochemical Society. 1983, Vol 130, Num 2, pp 478-484, issn 0013-4651Article

La Microlitographie en ultraviolet profond: mise en œuvre avec un laser excimère-exciplexe = Microlithography with Deep Ultraviolet radiation, use of an excimer laser for this purposeZAHORSKI, Dorian.1983, 182 fThesis

EVAPORATED AGBR AS A POTENTIAL PHOTOSENSITIVE MATERIAL FOR THE NEW LITHOGRAPHIESLAVINE JM; MASTERS JI; GOLDBERG GM et al.1981; IEEE TRANSACTIONS ON ELECTRON DEVICES; ISSN 0018-9383; USA; DA. 1981; VOL. 28; NO 11; PP. 1311-1314; BIBL. 11 REF.Article

Development of a Novel EUV Mask Protection Engineering Tool and Mask Handling TechniquesAMEMIYA, Mitsuaki; OTA, Kazuya; KAMONO, Takashi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2007, pp 66073G.1-66073G.8, issn 0277-786X, isbn 978-0-8194-6745-4Conference Paper

Impact of EUV light scatter on CD control as a result of mask density changesKRAUTSCHIK, Christof; ITO, Masaaki; NISHIYAMA, Iwao et al.SPIE proceedings series. 2002, pp 289-301, isbn 0-8194-4434-0, 2VolConference Paper

The simulation of application of high transmittance AttPSM for sub-100 nm pattern in 248 nm lithographyLIN, Cheng-Ming; LOONG, Wen-An.Microelectronic engineering. 2001, Vol 57-58, pp 41-48, issn 0167-9317Conference Paper

Problèmes posés par la conception d'un objectif photoréducteur fonctionnant en UV = Problems in UV microlithographic lens designingROBLIN, G.Journal of optics. 1984, Vol 15, pp 281-285, issn 0150-536X, 4 bisArticle

Step and Flash Imprint Lithography for Semiconductor High Volume Manufacturing?MALLOY, M; LITT, L. C.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7637, issn 0277-786X, isbn 978-0-8194-8051-4 0-8194-8051-7, 763706.1-763706.11Conference Paper

A rapid prototyping technique for the fabrication of solvent-resistant structuresHARRISON, Christopher; CABRAL, Joao T; STAFFORD, Christopher M et al.Journal of micromechanics and microengineering (Print). 2004, Vol 14, Num 1, pp 153-158, issn 0960-1317, 6 p.Article

Environmental data from the Engineering Test StandKLEBANOFF, L. E; GRUNOW, P. A; GRAHAM, S et al.SPIE proceedings series. 2002, pp 310-315, isbn 0-8194-4434-0, 2VolConference Paper

Naphthochinondiazid-haltige Photolacke für die Lithographie im UV-Bereich = Photoresists pour la lithographie UV contenant du diazide de naphtoquinone = Photoresists for UV-lithography containing naphtoquinone diazidPASCH, H; SCHULZE, H; LORKOWSKI, H.-J et al.Journal of information recording materials (1985). 1987, Vol 15, Num 2, pp 97-106, issn 0863-0453Article

Optics ContaminationBAJT, Sasa.EUV lithography. SPIE Press Monograph. 2009, Vol 178, pp 227-259, isbn 978-0-8194-6964-9 978-0-4704-7155-5, 1Vol, 33 p.Book Chapter

EUV Lithography for 30nm Half Pitch and Beyond: Exploring Resolution, Sensitivity and LWR TradeoffsPUTNA, E. Steve; YOUNKIN, Todd R; CHANDHOK, Manish et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72731L.1-72731L.9, 2Conference Paper

Study of the simulation parameter for EUVLSEKIGUCHI, Atushi.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7273, issn 0277-786X, isbn 978-0-8194-7526-8 0-8194-7526-2, 72731G.1-72731G.11, 2Conference Paper

Hybrid deep UV-e-beam lithography for the fabrication of dual damascene structuresMOLLARD, L; TEDESCO, S; DAL'ZOTTO, B et al.Microelectronic engineering. 2001, Vol 57-58, pp 269-275, issn 0167-9317Conference Paper

A novel spin coating technology for 248nm/193nm DUV lithography and low-k spin on dielectrics of 200/300mm wafersGURER, Emir; ZHONG, Tom; LEWELLEN, John et al.SPIE proceedings series. 2000, pp 805-817, isbn 0-8194-3617-8Conference Paper

Process margin enhancement for a 0.25 μm metal etch processCHUNG YI LEE; WEI WEN MA; ENG HOOI LIM et al.SPIE proceedings series. 2000, pp 865-880, isbn 0-8194-3617-8Conference Paper

Deep UV exposure of Ag2Se/GeSe2 utilizing an excimer laserPOLASKO, K. J; EHRLICH, D. J; TSAO, J. Y et al.IEEE electron device letters. 1984, Vol 5, Num 1, pp 24-26, issn 0741-3106Article

Approaches to rapid resist spreading on dispensing based UV-NILUSUKI, Kazuyuki; WAKAMATSU, Satoshi; OOMATSU, Tadashi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7970, issn 0277-786X, isbn 978-0-8194-8529-8, 79700S.1-79700S.8Conference Paper

Assessing out-of-band flare effects at the wafer level for EUV lithographyGEORGE, Simi A; NAULLEAU, Patrick P; KEMP, Charles D et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7636, issn 0277-786X, isbn 978-0-8194-8050-7 0-8194-8050-9, 763626.1-763626.10, 2Conference Paper

Evaluation Results of a New EUV Reticle Pod based on SEMI E152OTA, Kazuya; YONEKAWA, Masami; TAGUCHI, Takao et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7636, issn 0277-786X, isbn 978-0-8194-8050-7 0-8194-8050-9, 76361F.1-76361F.11, 2Conference Paper

Evaluations of EUV resist outgassing by gas chromatography mass spectrometry (GC-MS)OIZUMI, Hiroaki; MATSUMARO, Kazuyuki; SANTILLAN, Julius et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7636, issn 0277-786X, isbn 978-0-8194-8050-7 0-8194-8050-9, 76362W.1-76362W.8, 2Conference Paper

Nikon EUVL development progress updateMIURA, Takaharu; MURAKAMI, Katsuhiko; KAWAI, Hidemi et al.Proceedings of SPIE, the International Society for Optical Engineering. 2010, Vol 7636, issn 0277-786X, isbn 978-0-8194-8050-7 0-8194-8050-9, 76361G.1-76361G.16, 2Conference Paper

  • Page / 62